Defensive Routing: a Preventive Layout-Level Defense Against Untrusted Foundries

06/20/2019
by   Timothy Trippel, et al.
0

Since the inception of the integrated circuit (IC), the size of the transistors used to construct them continually shrink. While this advancement significantly improves computing capability, the associated massive complexity forces IC designers to outsource fabrication. Outsourcing presents a security threat: comprehensive post-fabrication inspection is infeasible given the size of modern ICs, thus it is nearly impossible to know if the foundry has altered your design during fabrication (i.e., inserted a hardware Trojan). Defending against a foundry-side adversary is challenging because---with as little as two gates---hardware Trojans can completely undermine software security. Prior work attempts to both detect and prevent such foundry-side attacks, but all existing defenses are ineffective against the most advanced hardware Trojans. We present Defensive Routing (DR), a preventive layout-level defense against untrusted foundries, capable of thwarting the insertion of even the stealthiest hardware Trojans. DR is directed and routing-centric: it prevents foundry-side attackers from connecting rogue wires to security-critical wires by shielding them with guard wires. Unlike shield wires commonly deployed for cross-talk reduction, DR guard wires present an additional technical challenge: they must be tamper-evident in both the digital and analog domains. To address this challenge, we present two different categories of guard wires: natural and synthetic. Natural guard wires are comprised of pre-existing wires that we route adjacent to security-critical wires, while synthetic guard wires are added to the design specifically to protect security-critical wires. Natural guard wires require no additional hardware and are digitally tamper-evident. Synthetic guard wires require additional hardware, but are tamper-evident in both the digital and analog domains.

READ FULL TEXT

page 3

page 5

page 6

page 7

page 9

page 10

research
06/20/2019

An Extensible Framework for Quantifying the Coverage of Defenses Against Untrusted Foundries

The transistors used to construct Integrated Circuits (ICs) continue to ...
research
01/09/2022

A Retrospective and Futurespective of Rowhammer Attacks and Defenses on DRAM

Rowhammer has drawn much attention from both academia and industry in th...
research
11/15/2022

Security Closure of IC Layouts Against Hardware Trojans

Due to cost benefits, supply chains of integrated circuits (ICs) are lar...
research
07/18/2020

A New Doctrine for Hardware Security

In this paper, we promote the idea that recent woes in hardware security...
research
06/08/2020

A Survey on Split Manufacturing: Attacks, Defenses, and Challenges

In today's integrated circuit (IC) ecosystem, owning a trusted foundry i...
research
07/20/2020

Exploiting Process Variations to Secure Photonic NoC Architectures from Snooping Attacks

The compact size and high wavelength-selectivity of microring resonators...

Please sign up or login with your details

Forgot password? Click here to reset