Dynamic Merge Point Prediction

05/29/2020
by   Stephen Pruett, et al.
0

Despite decades of research, conditional branch mispredictions still pose a significant problem for performance. Moreover, limit studies on infinite size predictors show that many of the remaining branches are impossible to predict by current strategies. Our work focuses on mitigating performance loss in the face of impossible to predict branches. This paper presents a dynamic merge point predictor, which uses instructions fetched on the wrong path of the branch to dynamically detect the merge point. Our predictor locates the merge point with an accuracy of 95 impossible to predict. Furthermore, we introduce a novel confidence-cost system, which identifies costly hard-to-predict branches. Our complete system replaces 58 prediction, effectively reducing MPKI by 43 potential for dynamic merge point prediction to significantly improve performance.

READ FULL TEXT

Please sign up or login with your details

Forgot password? Click here to reset