JuxtaPiton: Enabling Heterogeneous-ISA Research with RISC-V and SPARC FPGA Soft-cores

11/20/2018
by   Katie Lim, et al.
0

Energy efficiency has become an increasingly important concern in computer architecture due to the end of Dennard scaling. Heterogeneity has been explored as a way to achieve better energy efficiency and heterogeneous microarchitecture chips have become common in the mobile setting. Recent research has explored using heterogeneous-ISA, heterogeneous microarchitecture, general-purpose cores to achieve further energy efficiency gains. However, there is no open-source hardware implementation of a heterogeneous-ISA processor available for research, and effective research on heterogeneous-ISA processors necessitates the emulation speed provided by FPGA prototyping. This work describes our experiences creating JuxtaPiton by integrating a small RISC-V core into the OpenPiton framework, which uses a modified OpenSPARC T1 core. This is the first time a new core has been integrated with the OpenPiton framework, and JuxtaPiton is the first open-source, general-purpose, heterogeneous-ISA processor. JuxtaPiton inherits all the capabilities of OpenPiton, including vital FPGA emulation infrastructure which can boot full-stack Debian Linux. Using this infrastructure, we investigate area and timing effects of using the new RISC-V core on FPGA and the performance of the new core running microbenchmarks.

READ FULL TEXT

page 1

page 2

page 3

page 4

research
02/06/2019

Exploration of Performance and Energy Trade-offs for Heterogeneous Multicore Architectures

Energy-efficiency has become a major challenge in modern computer system...
research
06/04/2022

Enabling Heterogeneous, Multicore SoC Research with RISC-V and ESP

Heterogeneous, multicore SoC architectures are a critical component of t...
research
06/26/2023

Heterogeneous ALU Architecture – Power Aware System

The advent of heterogeneous multi-core architectures brought with it hug...
research
12/18/2017

HERO: Heterogeneous Embedded Research Platform for Exploring RISC-V Manycore Accelerators on FPGA

Heterogeneous embedded systems on chip (HESoCs) co-integrate a standard ...
research
11/14/2022

Efficient Real-Time Selective Genome Sequencing on Resource-Constrained Devices

Third-generation nanopore sequencers offer a feature called selective se...
research
06/01/2021

SBML2Modelica: integrating biochemical models within open-standard simulation ecosystems

Motivation: SBML is the most widespread language for the definition of b...

Please sign up or login with your details

Forgot password? Click here to reset